基于FPGA的IC卡电话计费系统的设计

本文档由 释蒂冰流 分享于2011-06-19 12:38

本次设计主要基于FPGA器件完成了一个IC电话计费器的设计,其能够显示用户IC的卡值余额,并能够根据用户当前的话务种类和通话时间进行扣费,并将用户的实时余额和通话时间通过8位LED七段显示器显示出来。整个设计过程采用自顶向下的分块设计方法,即将整个电话计费系统分为电话计费、计时模块和显示模块两大模块,其各模块的实现是基于QuartusⅡ7.2平台使用VHDL硬件描述语言编程实现的。其中每个代码兼有详细的代码,..
文档格式:
.doc
文档大小:
237.5K
文档页数:
22
顶 /踩数:
1 0
收藏人数:
14
评论次数:
0
文档热度:
文档分类:
论文  —  论文指导/设计
添加到豆单
文档标签:
IC电话 downto end money clk ptime when sel segment else
系统标签:
卡电话 电话计费器 fpga 计费系统 模块 设计
下载文档
收藏
打印

扫扫二维码,随身浏览文档

手机或平板扫扫即可继续访问

推荐豆丁书房APP  

获取二维码

分享文档

将文档分享至:
分享完整地址
文档地址: 复制
粘贴到BBS或博客
flash地址: 复制

支持嵌入FLASH地址的网站使用

html代码: 复制

默认尺寸450px*300px480px*400px650px*490px

支持嵌入HTML代码的网站使用

分享到